Getting Started Documentation Glish Learn More Programming Contact Us
Version 1.9 Build 1556
News FAQ
Search Home


next up previous contents index
Next: table - Tool Up: aipsrcdata - Module Previous: help.popup - Tool


user - Tool



Package utility
Module aipsrcdata


Postscript file available

Detail user environment - user



Description
The variables in this group define the user working environment. They will normally be defined in the user's .aipsrc.

The user.directories.work variable is used to determine which directories scratch files are written into. It can consist of 1 or more directories. If more than one directory is specified, AIPS++ attempts to spread the scratch files over the various listed directories. If this variable is not set, then "." (the current working directory) is used if it is writable, otherwise "/tmp" is used.



Example
user.aipsdir:            /my_data/aips++
user.directories.work:   ~/scr ~/aips++/work
user.display.memory: true

The user.prestart variable can be ignored by most users. It defines which clients are started asynchronously on start of AIPS++. This saves time but risks too many clients being run. The default choice is misc, timer, quanta. These clients are typically needed whenever the aips++ script is used to start AIPS++. If you often start using e.g.:

glish -l imager.g

then you may wish to prevent quanta from starting:

user.prestart:            timer quanta

To prevent any asynchronous starting:

user.prestart:            none



Aipsrc Variables

user.aipsdir   default user's AIPS++ base directory
    Allowed: valid directory name
    Default:  /aips++
user.directories.work   list of directories to put scratch files
    Allowed: string
    Default: "." or "/tmp"
user.dowait   Wait for asynchronous functions to finish?
    Allowed: falsor true
    Default: false
user.aipsrc.edit.keep   the number of edits of an aipsrc keyword that are kept as history when saving automatically to the users .aipsrc
    Allowed: integer
    Default: 5
user.display.memory   display memory usage in a GUI barchart?
    Allowed: false or true
    Default: false
user.prestart   Pre-start these clients
    Allowed: String
    Default: misc timer quanta





next up previous contents index
Next: table - Tool Up: aipsrcdata - Module Previous: help.popup - Tool   Contents   Index
Please send questions or comments about AIPS++ to aips2-request@nrao.edu.
Copyright © 1995-2000 Associated Universities Inc., Washington, D.C.

Return to AIPS++ Home Page
2006-10-15